Find link

language:

jump to random article

Find link is a tool written by Edward Betts.

searching for Chemical Vapor Deposition (journal) 386 found (389 total)

alternate case: chemical Vapor Deposition (journal)

Combustion chemical vapor deposition (762 words) [view diff] no match in snippet view article find links to article

Combustion chemical vapor deposition (CCVD) is a chemical process by which thin-film coatings are deposited onto substrates in the open atmosphere. In
Chemical vapor deposition of ruthenium (1,504 words) [view diff] no match in snippet view article find links to article
Chemical vapor deposition of ruthenium is a method to deposit thin layers of ruthenium on substrates by Chemical vapor deposition (CVD). A unique challenge
Laser chemical vapor deposition (452 words) [view diff] no match in snippet view article find links to article
Laser chemical vapor deposition (LCVD) is a chemical process used to produce high purity, high performance films, fibers, and mechanical hardware (MEMS)
Aerographite (1,049 words) [view diff] no match in snippet view article find links to article
objects. Common aspects of synthesis: With the aerographite's chemical vapor deposition (CVD) process metal oxides had been shown in 2012 to be a suitable
Tungsten(IV) fluoride (223 words) [view diff] no match in snippet view article
together with tungsten pentafluoride, as an intermediate in the chemical vapor deposition of tungsten films using tungsten hexafluoride. Tungsten tetrafluoride
Tungsten hexafluoride (1,594 words) [view diff] no match in snippet view article find links to article
semiconductor industry to form tungsten films, through the process of chemical vapor deposition. This layer is used in a low-resistivity metallic "interconnect"
Synthesis of carbon nanotubes (5,779 words) [view diff] no match in snippet view article find links to article
ablation, high-pressure carbon monoxide disproportionation, and chemical vapor deposition (CVD). Most of these processes take place in a vacuum or with
Chemical vapor infiltration (1,137 words) [view diff] no match in snippet view article find links to article
by the chemical vapor infiltration (CVI) of propane pyrolysis". Korean Journal of Chemical Engineering. 28:1: 272–278. doi:10.1007/s11814-010-0352-y.
Parylene (4,773 words) [view diff] no match in snippet view article find links to article
to implanted devices. These coatings are typically applied by chemical vapor deposition in an atmosphere of the monomer para-xylylene. Parylene is considered
Selective area epitaxy (907 words) [view diff] no match in snippet view article find links to article
Area Epitaxy". doi:10.1021/acsnano.9b02985.s001. {{cite journal}}: Cite journal requires |journal= (help) Van Caenegem, Tom; Moerman, Ingrid; Demeester
Atomic layer deposition (7,385 words) [view diff] no match in snippet view article find links to article
(typically referred to as precursors or reactants). In contrast to chemical vapor deposition, the precursors are never present simultaneously in the reactor
Dimethylcadmium (256 words) [view diff] no match in snippet view article find links to article
limited use as a reagent in organic synthesis and in metalorganic chemical vapor deposition (MOCVD). It has also been used in the synthesis of cadmium selenide
Lisa McElwee-White (1,488 words) [view diff] no match in snippet view article find links to article
the Florida Award (2015) and the Charles H. Stone Award (2012). Chemical Vapor Deposition (CVD) is a technique that produces materials by depositing solid
CFD-ACE+ (803 words) [view diff] no match in snippet view article find links to article
chemical vapor deposition (CVD). Researchers at the Ecole Nationale Superieure d'Arts et Metiers used CFD-ACE+ to simulate the rapid thermal chemical
Chemical beam epitaxy (1,661 words) [view diff] no match in snippet view article find links to article
This technique was then described as a hybrid of metal-organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) that exploited the advantages
Flexible solar cell research (1,611 words) [view diff] no match in snippet view article find links to article
material on flexible substrates, such as ordinary paper, using chemical vapor deposition technology. The technology for manufacturing solar cells on paper
GeSbTe (2,261 words) [view diff] no match in snippet view article find links to article
other chalcogenide films of very high purity by metalorganic chemical vapor deposition (MOCVD). Dimethylamino germanium trichloride (DMAGeC) is also
Bis(trimethylsilyl)amine (878 words) [view diff] no match in snippet view article
Additionally, HMDS is also increasingly used as molecular precursor in chemical vapor deposition techniques to deposit silicon carbonitride thin films or coatings
Thin-film optics (708 words) [view diff] no match in snippet view article find links to article
deposition or sputter deposition, or a chemical process such as chemical vapor deposition. Thin films are used to create optical coatings. Examples include
Gregory S. Girolami (2,082 words) [view diff] no match in snippet view article find links to article
polymerization of alkenes and the activation of saturated alkanes, the chemical vapor deposition of thin films from designed molecular precursors, the construction
Boron fiber (381 words) [view diff] no match in snippet view article find links to article
sized crystalline boron springs are produced by laser-assisted chemical vapor deposition. Translation of the focused laser beam allows to produce even
Synthetic diamond (9,732 words) [view diff] no match in snippet view article find links to article
for their production method (high-pressure high-temperature and chemical vapor deposition, respectively). These two processes still dominate synthetic diamond
Barium orthotitanate (499 words) [view diff] no match in snippet view article find links to article
Ba2TiO4 has also been successfully grown as a thin film with chemical vapor deposition. Room temperature entropy – 47.0 cal/deg. mol Dielectric constant
BCN nanotube (301 words) [view diff] no match in snippet view article find links to article
synthesis methods have included: arc-discharge, laser ablation, chemical vapor deposition (CVD), template route, and pyrolysis techniques. Single-walled
Erbium hexaboride (349 words) [view diff] no match in snippet view article find links to article
stable erbium hexaboride nanowires. These wires, produced using chemical vapor deposition (CVD), have a reported lattice constant of 4.1 Å. Samsonov, Grigorii
Superconducting wire (2,674 words) [view diff] no match in snippet view article find links to article
K and 6 MA/cm2 at 77 K in a 7 T magnetic field. Metal organic chemical vapor deposition (MOCVD) is one of the deposition processes used for fabrication
Graphene production techniques (10,670 words) [view diff] no match in snippet view article find links to article
The Journal of Physical Chemistry C. 121 (45): 25074–25078. doi:10.1021/acs.jpcc.7b06540. Zhou, Chongwu (2013). "Review of Chemical Vapor Deposition of
Joan Redwing (974 words) [view diff] no match in snippet view article find links to article
of semiconductor thin films and nanomaterials by metalorganic chemical vapor deposition (MOCVD). Redwing is a distinguished professor of materials science
Hafnium carbide (758 words) [view diff] no match in snippet view article find links to article
oxygen. Alternatively, high-purity HfC coatings can be obtained by chemical vapor deposition from a gas mixture of methane, hydrogen, and vaporized hafnium(IV)
Zinc oxide nanoparticle (749 words) [view diff] no match in snippet view article find links to article
hydrothermal methods, electrochemical depositions, sol–gel method, chemical vapor deposition, thermal decomposition, combustion methods, ultrasound, microwave-assisted
Plasma polymerization (4,569 words) [view diff] no match in snippet view article find links to article
and biofuel cells. Yasuda, H. (1981). "Glow Discharge Polymerization". Journal of Polymer Science: Macromolecular Reviews. 16 (1): 199–293. doi:10.1002/pol
Durable water repellent (645 words) [view diff] no match in snippet view article find links to article
chemical onto the surface of the fabric by spraying or dipping, or chemical vapor deposition (CVD). The advantages of CVD include reducing the use of environmentally
Self-cleaning glass (1,357 words) [view diff] no match in snippet view article find links to article
anatase titanium dioxide deposited by an atmospheric pressure chemical vapor deposition technique onto soda-lime silicate float glass. The result is a
Synthesis of hexagonal boron nitride (1,956 words) [view diff] no match in snippet view article find links to article
mechanical exfoliation, although the samples are easily contaminated. Chemical vapor deposition (CVD) is a bottom-up chemical deposition method used to construct
Silicon nitride (4,460 words) [view diff] no match in snippet view article find links to article
films are formed using chemical vapor deposition (CVD), or one of its variants, such as plasma-enhanced chemical vapor deposition (PECVD): 3 SiH 4(g) +
Zinc oxide nanostructure (2,686 words) [view diff] no match in snippet view article find links to article
The most common methods to synthesise ZnO structures is using chemical vapor deposition (CVD), which is best used to form nanowires and comb or tree-like
Coating (4,237 words) [view diff] no match in snippet view article find links to article
"Considering the effect of graphene loading in water-based epoxy coatings". Journal of Coatings Technology and Research. 15 (5): 923–931. doi:10.1007/s11998-018-0045-8
Transition metal dichalcogenide monolayers (10,301 words) [view diff] no match in snippet view article find links to article
exfoliation by blending TMD materials with solvents and polymers. Chemical vapor deposition (CVD) is another approach used to synthesize transition-metal
Nitrogen-doped carbon nanotube (453 words) [view diff] no match in snippet view article find links to article
nanotubes (N-CNTs) can be produced through five main methods; chemical vapor deposition (CVD), high-temperature and high-pressure reactions, gas-solid
Susan D. Allen (1,653 words) [view diff] no match in snippet view article find links to article
Southern California, she published a number of papers on laser chemical vapor deposition. Subsequent research covered a variety of laser interactions with
Cyclopentadienyl allyl palladium (339 words) [view diff] no match in snippet view article find links to article
Pd(PR3)2 + C5H5C3H5 The compound has been used to deposit thin film chemical vapor deposition of metallic palladium. Y. Tatsuno, T. Yoshida, S. Otsuka (1979)
Graphene nanoribbon (4,969 words) [view diff] no match in snippet view article find links to article
semiconductors, exhibiting a band gap. Inside a reaction chamber, using chemical vapor deposition, methane is used to deposit hydrocarbons on the wafer surface
Epitaxial wafer (1,261 words) [view diff] no match in snippet view article find links to article
various types of chemical vapor deposition (CVD) classified as Atmospheric pressure CVD (APCVD) or metal organic chemical vapor deposition (MOCVD), as well
Graphene helix (1,641 words) [view diff] no match in snippet view article find links to article
structure proposed here under the conditions of conventional chemical vapor deposition processes. The model addresses previous experimental evidence
Tantalum nitride (749 words) [view diff] no match in snippet view article find links to article
'combustion' of tantalum powder in nitrogen, low‐pressure metalorganic chemical vapor deposition (LP‐MOCVD), ion beam assisted deposition (IBAD), and by electron
Douglas C. Gordon (2,517 words) [view diff] no match in snippet view article find links to article
(1993). Decomposition of allylselenium sources in the metalorganic chemical vapor deposition of zinc selenide. Chemistry of Materials, 5(3), 305–310. Danek
Tungsten disulfide (1,853 words) [view diff] no match in snippet view article find links to article
monolayer WS2 include chemical vapor deposition (CVD), physical vapor deposition (PVD) or metal organic chemical vapor deposition (MOCVD), though most
Graphene (26,747 words) [view diff] no match in snippet view article find links to article
Wafer-Scale Chemical Vapor Deposition of Graphene on Evaporated Cu (111) Film with Quality Comparable to Exfoliated Monolayer". The Journal of Physical
Borazine (1,683 words) [view diff] no match in snippet view article find links to article
surfaces such as copper, platinum, nickel iron and many more, with chemical vapor deposition (CVD). Polyborazylene has been proposed as a recycled hydrogen
Thin-film lithium-ion battery (2,554 words) [view diff] no match in snippet view article find links to article
Magnetron Sputtering the substrate is cooled for deposition. In Chemical Vapor Deposition, volatile precursor materials are deposited onto a substrate material
List of plasma physics articles (195 words) [view diff] no match in snippet view article find links to article
atmospheres of accreting neutron stars. I. Isothermal atmospheres, Astrophysical Journal, 314: 215–233, 1987 March 1. In one case, they report an increase in the
Copper indium gallium selenide solar cell (5,435 words) [view diff] no match in snippet view article find links to article
Shell to create the absorber; however, their CdS layer comes from chemical vapor deposition. Modules sold by Shell Solar claim 9.4% module efficiency. Miasole
Fluorochemical industry (3,685 words) [view diff] no match in snippet view article find links to article
electronics industry. Rhenium and tungsten hexafluorides are used for chemical vapor deposition of thin metal films onto semiconductors. Tetrafluoromethane, is
Crystallographic defects in diamond (7,674 words) [view diff] no match in snippet view article find links to article
diamond grown by the high-pressure high-temperature synthesis or chemical vapor deposition, defects with symmetry lower than tetrahedral align to the direction
Silicon carbide fibers (743 words) [view diff] no match in snippet view article find links to article
(2006). “Hyperbaric Laser Chemical Vapor Deposition of Carbon Fibers from the 1-Alkenes, 1-Alkynes, and Benzene”. Journal of the American Chemical Society
Electroless deposition (3,331 words) [view diff] no match in snippet view article find links to article
other plating methods such as Physical Vapor Deposition ( PVD), Chemical Vapor Deposition (CVD), and electroplating, which are limited to 2D surfaces. Commonly
Boron nitride (6,952 words) [view diff] no match in snippet view article find links to article
compressibility. Thin films of boron nitride can be obtained by chemical vapor deposition from boron trichloride and nitrogen precursors. ZYP Coatings also
Zirconium carbide (869 words) [view diff] no match in snippet view article find links to article
(January 2008). "Deposition Mechanism for Chemical Vapor Deposition of Zirconium Carbide Coatings". Journal of the American Ceramic Society. 91 (4): 1249–1252
Tantalum diselenide (3,291 words) [view diff] no match in snippet view article find links to article
Pengfei; Li, Yong; Zhang, Qinghua; Zhang, Qing (November 2018). "Chemical Vapor Deposition Grown Wafer-Scale 2D Tantalum Diselenide with Robust Charge-Density-Wave
Substrate (materials science) (398 words) [view diff] no match in snippet view article
including: Adhesives and adhesive tapes Coating and printing processes Chemical vapor deposition and physical vapor deposition Conversion coating Anodizing Chromate
Porous carbon (796 words) [view diff] no match in snippet view article find links to article
of Carbon Nanomaterials Grown by Chemical Vapor Deposition for Electrochemical Catalysis Reactions" (PDF). ECS Journal of Solid State Science and Technology
Ultra-high temperature ceramic (7,598 words) [view diff] no match in snippet view article find links to article
methods, including hot pressing, spark plasma sintering, and chemical vapor deposition. Despite their advantages, UHTCs also have some limitations, such
Boron nitride nanosheet (2,357 words) [view diff] no match in snippet view article find links to article
catalytic decomposition of borazine at a temperature ~1100 °C in a chemical vapor deposition setup, over substrate areas up to about 10 cm2. Owing to their
Butyltin trichloride (615 words) [view diff] no match in snippet view article find links to article
polyethylene. MBTC is a commonly used organotin compound for on-line chemical vapor deposition because it readily decomposes at or close to the hot glass surface
Ammonolysis (972 words) [view diff] no match in snippet view article find links to article
reacting various metal precursors with ammonia, some options include chemical vapor deposition, treating metals or metal oxides with ammonia gas, or liquid supercritical
Graphene morphology (2,871 words) [view diff] no match in snippet view article find links to article
its optical and electronic properties. One synthesis method is chemical vapor deposition, which can produce large bilayer regions that almost exclusively
Tantalum boride (443 words) [view diff] no match in snippet view article find links to article
Kohzo (1982). "Low-temperature deposition of TaB and TaB2 by chemical vapor deposition". Journal of Nuclear Materials. 105 (2–3). Elsevier BV: 262–268. Bibcode:1982JNuM
Dieter Gruen (1,209 words) [view diff] no match in snippet view article find links to article
ionization mass spectrometry; the discovery and development of a new chemical vapor deposition process for the synthesis of phase-pure nano-crystalline diamond
Caterina Ducati (697 words) [view diff] no match in snippet view article find links to article
vertically aligned carbon nanotubes using plasma enhanced chemical vapor deposition". Journal of Applied Physics. 90 (10): 5308–5317. Bibcode:2001JAP.
Carbon nanotube supported catalyst (5,869 words) [view diff] no match in snippet view article find links to article
must be taken into account. Progress is being made in the use of chemical vapor deposition for the synthesis of supported catalysts. Combinatorial techniques
Trimethylplatinum iodide (1,275 words) [view diff] no match in snippet view article find links to article
and low-temperature organometallic chemical vapor deposition of platinum metal". Journal of the American Chemical Society. 111 (24): 8779–8784
Nano-PSI (405 words) [view diff] no match in snippet view article find links to article
Chemical vapor deposition Pulsed laser deposition Gas discharge plasmas and their applications. Semiconductor manufacturing; Plasma-enhanced chemical
Hexamethyltungsten (1,421 words) [view diff] no match in snippet view article find links to article
use of W(CH3)6 in the manufacture of semiconductor devices for chemical vapor deposition of tungsten thin films; however, to date it has not been used
Vertically aligned carbon nanotube arrays (7,332 words) [view diff] no match in snippet view article find links to article
afterwards such as during the device integration process. Thermal chemical vapor deposition is a common technique to grow aligned arrays of CNTs. In the CVD
Lonsdaleite (1,967 words) [view diff] no match in snippet view article find links to article
press or using explosives, lonsdaleite has also been produced by chemical vapor deposition, and also by the thermal decomposition of a polymer, poly(hydridocarbyne)
Timeline of carbon nanotubes (1,956 words) [view diff] no match in snippet view article find links to article
1976 – A. Oberlin, Morinobu Endo, and T. Koyama reported CVD (Chemical Vapor Deposition) growth of nanometer-scale carbon fibers, and they also reported
Nickel(III) oxide (264 words) [view diff] no match in snippet view article
The Journal of Physical Chemistry. 65 (11): 2105. doi:10.1021/j100828a503. ISSN 0022-3654. Kang, Jin-Kyu; Rhee, Shi-Woo (2001). "Chemical vapor deposition
Carbon nanofiber (3,009 words) [view diff] no match in snippet view article find links to article
to examine the properties of CNFs.[citation needed] Catalytic chemical vapor deposition (CCVD) or simply CVD with variants like thermal and plasma-assisted
Probe tip (8,181 words) [view diff] no match in snippet view article find links to article
very controlled manner. Other physicochemical methods include chemical vapor deposition and electron beam deposition onto pre-existing tips. Other tip
Titanium disulfide (2,234 words) [view diff] no match in snippet view article find links to article
This route has been applied to the formation of TiS2 films by chemical vapor deposition. Thiols and organic disulfides can be employed in place of hydrogen
Flint glass (233 words) [view diff] no match in snippet view article find links to article
William R. (1998). "Perspectives on the History of Glass Composition". Journal of the American Ceramic Society. 81 (4): 795–813. doi:10.1111/j.1151-2916
Impalefection (339 words) [view diff] no match in snippet view article find links to article
nanofiber arrays prepared by photolithography and plasma enhanced chemical vapor deposition are one of the suitable types of material. Silicon nanowires are
Nanoparticle deposition (1,004 words) [view diff] no match in snippet view article find links to article
particle self-assembly by solvent evaporation, doctor blade, chemical vapor deposition and transfer printing. Some of these methods like solvent evaporation
Bilayer graphene (2,743 words) [view diff] no match in snippet view article find links to article
Bilayer graphene can be made by exfoliation from graphite or by chemical vapor deposition (CVD). In 2016, Rodney S. Ruoff and colleagues showed that large
Exfoliation (chemistry) (4,475 words) [view diff] no match in snippet view article
methods are chemical vapor deposition, graphite oxide reduction, and electrochemical exfoliation. First introduced in 2008, chemical vapor deposition emerged
List of metal-organic chemical vapour deposition precursors (576 words) [view diff] no match in snippet view article find links to article
metal-organic compounds are widely used as molecular precursors for the chemical vapor deposition process (MOCVD). The success of this method is mainly due to its
Photolithography (6,366 words) [view diff] no match in snippet view article find links to article
the pattern is transferred onto the substrate through etching, chemical vapor deposition, or ion implantation processes. Ultraviolet (UV) light is typically
Thermal spraying (4,003 words) [view diff] no match in snippet view article find links to article
other coating processes such as electroplating, physical and chemical vapor deposition. Coating materials available for thermal spraying include metals
Gemesis (1,945 words) [view diff] no match in snippet view article find links to article
facilities for both the high-pressure high-temperature (HPHT) and chemical vapor deposition (CVD) diamond production methods. Using these methods, Gemesis
Aluminium indium antimonide (496 words) [view diff] no match in snippet view article find links to article
films have been grown by molecular beam epitaxy and metalorganic chemical vapor deposition on gallium arsenide and gallium antimonide substrates. It is typically
Low-temperature polycrystalline silicon (1,501 words) [view diff] no match in snippet view article find links to article
surface roughness. Silicon film is synthesized by low-pressure chemical vapor deposition (LPCVD) to minimize surface roughness. First, amorphous silicon
Applied Materials (1,973 words) [view diff] no match in snippet view article find links to article
operate a service center in China. In 1987, Applied introduced a chemical vapor deposition (CVD) machine called the Precision 5000, which differed from existing
Hexamethylcyclotrisilazane (637 words) [view diff] no match in snippet view article find links to article
1887. Dennis W. Hess and Todd A. Brooks (1987): "Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen"
Cranberry glass (601 words) [view diff] no match in snippet view article find links to article
Historical Facts and Future Prospects": 1–56. {{cite journal}}: Cite journal requires |journal= (help)CS1 maint: multiple names: authors list (link)
Rhenium diselenide (347 words) [view diff] no match in snippet view article find links to article
thickness as small as a triple-atomic layer can be produced by chemical vapor deposition at ambient pressure. A mixture of Ar and hydrogen gases is flown
Photosensitive glass (424 words) [view diff] no match in snippet view article find links to article
Tantawi, Janeczka Oates, Reza Kamali, Nathan Bergquist and John D Williams, Journal of Micromech. & Microeng. 21 (2011)". Encyclopædia Britannica, The New
Thallium(III) oxide (307 words) [view diff] no match in snippet view article
Turner & M. Fatemi (1991). "Thallium(III) Oxide by Organometallic Chemical Vapor Deposition". Chemistry of Materials. 3 (1): 72–77. doi:10.1021/cm00013a019
Susan Sinnott (901 words) [view diff] no match in snippet view article find links to article
expression for hydrocarbons Model of carbon nanotube growth through chemical vapor deposition Carbon nanotubes: synthesis, properties, and applications Effect
Susan Sinnott (901 words) [view diff] no match in snippet view article find links to article
expression for hydrocarbons Model of carbon nanotube growth through chemical vapor deposition Carbon nanotubes: synthesis, properties, and applications Effect
Diamond (14,961 words) [view diff] no match in snippet view article find links to article
high pressures and temperatures or from hydrocarbon gases by chemical vapor deposition (CVD). Imitation diamonds can also be made out of materials such
Phosphate glass (470 words) [view diff] no match in snippet view article find links to article
(2001). "Mechanical and structural properties of phosphate glasses" (PDF). Journal of Non-Crystalline Solids. 288 (1–3): 8–17. Bibcode:2001JNCS..288....8K
Rhenium disulfide (818 words) [view diff] no match in snippet view article find links to article
ReS2 can usually be achieved through mechanical exfoliation, chemical vapor deposition (CVD), and chemical and liquid exfoliations. Larger crystals can
Molybdenum hexafluoride (455 words) [view diff] no match in snippet view article find links to article
semiconductor industry constructs various integrated circuits through chemical vapor deposition of molybdenum hexafluoride. In some cases, the deposited molybdenum
Neodymium(III) acetylacetonate (318 words) [view diff] no match in snippet view article
neodymium in acetylacetone. Pierson, H.O. (1999). Handbook of Chemical Vapor Deposition: Principles, Technology and Applications. Materials Science and
Material properties of diamond (5,524 words) [view diff] no match in snippet view article find links to article
films are reproducibly synthesized by phosphorus doping during chemical vapor deposition. Diode p-n junctions and UV light emitting diodes (LEDs, at 235 nm)
Borophene (2,345 words) [view diff] no match in snippet view article find links to article
reported the growth of atomic-thickness borophene sheets via chemical vapor deposition (CVD) for the first time. The CVD-borophene layers display an
Wood's glass (673 words) [view diff] no match in snippet view article find links to article
"Secret signaling by light rays". Kline Geology Laboratory. American Journal of Science. 49. New Haven: Yale University: 214–216. Retrieved 27 March
Glass cloth (391 words) [view diff] no match in snippet view article find links to article
Mode I Interlaminar Fracture Toughness of Glass-Cloth/Epoxy Laminates". Journal of Engineering Materials and Technology. 123 (2): 191–197. CiteSeerX 10
Zinc nitride (744 words) [view diff] no match in snippet view article find links to article
Phase, Zn3N2, Using Zn[N(SiMe3)2]2 and Ammonia as Precursors", Chemical Vapor Deposition, 11 (10): 409–414, doi:10.1002/cvde.200506383 Ebru, S.T.; Ramazan
Boron (12,762 words) [view diff] no match in snippet view article find links to article
chiefly used in making boron fibers, where it is deposited by chemical vapor deposition on a tungsten core (see below). Boron fibers are used in lightweight
Swaminathan Sivaram (2,526 words) [view diff] no match in snippet view article find links to article
240. Some of his articles have also been compiled as a book, Chemical vapor deposition: thermal and plasma deposition of electronic materials. He is
AgInSbTe (244 words) [view diff] no match in snippet view article find links to article
"Laser-Induced Crystallization in AgInSbTe Phase-Change Optical Disk". Japanese Journal of Applied Physics. 44 (5A): 3042–3048. Bibcode:2005JaJAP..44.3042C. doi:10
Semiconductor device fabrication (10,925 words) [view diff] no match in snippet view article find links to article
Thermal ALE Wet etching Buffered oxide etch Chemical vapor deposition (CVD) Metal organic chemical vapor deposition (MOCVD), used in LEDs Atomic layer deposition
MEMS (5,580 words) [view diff] no match in snippet view article find links to article
technique, for example LPCVD (low-pressure chemical vapor deposition) and PECVD (plasma-enhanced chemical vapor deposition). Oxide films can also be grown by
Tungsten carbide (3,576 words) [view diff] no match in snippet view article find links to article
hydrogen at 670 °C following by carburization in argon at 1,000 °C. Chemical vapor deposition methods that have been investigated include: reacting tungsten
Valentin Aleskovsky (696 words) [view diff] no match in snippet view article find links to article
to the Method of Molecular Layering/Atomic Layer Deposition". Chemical Vapor Deposition. 21 (10–11–12): 216–40. doi:10.1002/cvde.201502013. ISSN 1521-3862
Nanodiamond (2,857 words) [view diff] no match in snippet view article find links to article
synthesis, ion bombardment, laser bombardment, microwave plasma chemical vapor deposition techniques, ultrasound synthesis, and electrochemical synthesis
Trimethylgallium (457 words) [view diff] no match in snippet view article find links to article
production of LED lighting and semiconductors as a metalorganic chemical vapor deposition precursor. Bradley, D. C.; Chudzynska, H. C.; Harding, I. S. (1997)
Dielectric mirror (749 words) [view diff] no match in snippet view article find links to article
includes evaporative deposition and ion beam assisted deposition), chemical vapor deposition, ion beam deposition, molecular beam epitaxy, and sputter deposition
Atomic layer etching (223 words) [view diff] no match in snippet view article find links to article
Layer Epitaxy". Chemical Vapor Deposition. 20 (10–11–12): 332–344. doi:10.1002/cvde.201402012. ISSN 1521-3862. ECS-JSS focus journal on atomic layer etch
Ruthenium(IV) oxide (728 words) [view diff] no match in snippet view article
transport agent: RuO2 + O2 ⇌ RuO4 Films of RuO2 can be prepared by chemical vapor deposition (CVD) from volatile ruthenium compounds. RuO2 can also be prepared
Lee Young-hee (physicist) (1,543 words) [view diff] no match in snippet view article
Synthesis of Large-Area Graphene Layers on Poly-Nickel Substrate by Chemical Vapor Deposition: Wrinkle Formation (Advanced Materials, 2009) "IBS Places First
Germanium-tin (593 words) [view diff] no match in snippet view article find links to article
decomposition. Therefore, low temperature molecular beam epitaxy or chemical vapor deposition techniques are typically used for their synthesis. Germanium-tin
Reactive empirical bond order (883 words) [view diff] no match in snippet view article find links to article
"Empirical potential for hydrocarbons for use in simulating the chemical vapor deposition of diamond films". Physical Review B. 42 (15). American Physical
Tokyo Electron (2,330 words) [view diff] no match in snippet view article find links to article
transistors onto the silicon wafer surface, using a heated low-pressure chemical vapor deposition (LPCVD) or oxidation process. Also in 2012, products involved
Optical fiber (11,512 words) [view diff] no match in snippet view article find links to article
(1982). "An Overview of the Modified Chemical Vapor Deposition (MCVD) Process and Performance". IEEE Journal of Quantum Electronics. 30 (4): 305–322
Atomic layer epitaxy (568 words) [view diff] no match in snippet view article find links to article
Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy". Chemical Vapor Deposition. 20 (10–11–12): 332–344. doi:10.1002/cvde.201402012. ISSN 1521-3862
Silicon nanotube (881 words) [view diff] no match in snippet view article find links to article
The actual formation of the nanotubes relies on the process of chemical vapor deposition. A more common laboratory-scale method involves the use of germanium
Crosslight Software (963 words) [view diff] no match in snippet view article find links to article
software package for compound semiconductor growth by Metal-Organic Chemical Vapor Deposition (MOCVD). Given the deposition reactor geometry, chemical species
Niobium carbide (312 words) [view diff] no match in snippet view article find links to article
200-800 °C in air. A layer of niobium carbide can be created by chemical vapor deposition. Zirconium carbide and niobium carbide can be used as refractory
Pulsed laser deposition (2,603 words) [view diff] no match in snippet view article find links to article
techniques. Other methods include molecular beam epitaxy (MBE), chemical vapor deposition (CVD), sputter deposition (RF, magnetron, and ion beam). The history
Magnesium diboride (2,594 words) [view diff] no match in snippet view article find links to article
Italian company Edison S.p.A.[citation needed] Hybrid physical–chemical vapor deposition (HPCVD) has been the most effective technique for depositing magnesium
List of manufacturing processes (808 words) [view diff] no match in snippet view article find links to article
articles: Imaging and Coating Laser engraving Inkjet printing Chemical vapor deposition Sputter deposition Plating Thermal spraying Powder metallurgy
Isobutylgermane (549 words) [view diff] no match in snippet view article find links to article
Flash applications. IBGe is a non-pyrophoric liquid source for chemical vapor deposition (CVD) and atomic layer deposition (ALD) of semiconductors. It
Splat quenching (829 words) [view diff] no match in snippet view article find links to article
involves the consecutive spraying of the molten metal onto a chemical vapor deposition surface. However, the layers do not fuse together as desired and
Rodney S. Ruoff (3,800 words) [view diff] no match in snippet view article find links to article
synthesis of large area monolayer graphene on copper foil by chemical vapor deposition, for which relatively high carrier mobilities have been obtained
Molybdenum diselenide (792 words) [view diff] no match in snippet view article find links to article
produced by scotch tape exfoliation from bulk crystals or by chemical vapor deposition (CVD). The electron mobility of 2D-MoSe 2 is significantly higher
Digermane (779 words) [view diff] no match in snippet view article find links to article
Digermane can be used to deposit Ge-containing semiconductors via chemical vapor deposition. Haynes, William M., ed. (2016). CRC Handbook of Chemistry and
Direct-current plasma (430 words) [view diff] no match in snippet view article find links to article
in Digesta and Feces by Direct Current Plasma Emission Spectroscopy". Journal of Dairy Science. 75 (8): 2176–2183. doi:10.3168/jds.S0022-0302(92)77977-6
Zerodur (562 words) [view diff] no match in snippet view article find links to article
Impact in Zerodur" (PDF). J Phys IV France. 7 (Colloque C3, Suppltment au Journal de Physique I11 d'aotit 1997): C3-1015-C3-1020. doi:10.1051/jp4:19973171
Hafnium tetrachloride (1,306 words) [view diff] no match in snippet view article find links to article
exo-isomer formation. HfCl4 was considered as a precursor for chemical vapor deposition and atomic layer deposition of hafnium dioxide and hafnium silicate
Allotropes of boron (3,225 words) [view diff] no match in snippet view article find links to article
rhenium or tantalum filaments at temperatures 1270–1550 °C (i.e. chemical vapor deposition). Further studies have reproduced the synthesis and confirmed
Graphitic carbon nitride (1,338 words) [view diff] no match in snippet view article find links to article
exposed surface. This method can be assimilated to an in situ chemical vapor deposition (CVD). Characterization of crystalline g-C3N4 can be carried out
Soda–lime glass (922 words) [view diff] no match in snippet view article find links to article
iso.org. Wiederhorn, S.M. (1969). "Fracture stress energy of glass". Journal of the American Ceramic Society. 52 (2): 99–105. doi:10.1111/j.1151-2916
J. E. Greene (1,712 words) [view diff] no match in snippet view article find links to article
Houle, F.; Greene, J.E.; Eden, G., eds. (1985). Laser-Stimulated Chemical Vapor Deposition. New York: American Vacuum Society. Sproul, W.D.; Greene, J.E
Silicon carbide (7,288 words) [view diff] no match in snippet view article find links to article
Cubic SiC is usually grown by the more expensive process of chemical vapor deposition (CVD) of silane, hydrogen and nitrogen. Homoepitaxial and heteroepitaxial
Solar cell (16,857 words) [view diff] no match in snippet view article find links to article
wafer cells. The work on optimizing the atmospheric-pressure chemical vapor deposition (APCVD) in-line production chain was done in collaboration with
W. E. S. Turner (725 words) [view diff] no match in snippet view article find links to article
Society of Glass Technology, becoming its first secretary. It published a Journal, which he edited until 1951. He was also involved in the formation of the
Triphosphorus pentanitride (1,314 words) [view diff] no match in snippet view article find links to article
"Chemical vapor deposition and characterization of phosphorus nitride (P3N5) gate insulators for InP metal-insulator-semiconductor devices". Journal of
Metallizing (433 words) [view diff] no match in snippet view article find links to article
Electroplating Sputtering Chemical vapor deposition Electroless deposition Lohrey, Eric C. "Metalizing Steel Bridges in the Field". Journal of Protective Coatings
Solid acid fuel cell (2,443 words) [view diff] no match in snippet view article find links to article
support via processes like atomic layer deposition or metal-organic chemical vapor deposition. SAFCs have a high tolerance to catalyst poisoning due to the
Nanosheet (1,151 words) [view diff] no match in snippet view article find links to article
interfaces like Langmuir–Blodgett films, solution phase synthesis and chemical vapor deposition (CVD). For example, CdTe (cadmium telluride) nanosheets could
Zirconium dioxide (2,045 words) [view diff] no match in snippet view article find links to article
"Rapid thermal chemical vapor deposition of zirconium oxide for metal–oxide–semiconductor field effect transistor application". Journal of Vacuum Science
Titanium diboride (908 words) [view diff] no match in snippet view article find links to article
two main advantages compared with physical vapor deposition or chemical vapor deposition: the growing rate of the layer is 200 times higher (up to 5 μm/s)
Porous glass (1,521 words) [view diff] no match in snippet view article find links to article
Glaswerkstoff zum Ausführen des Verfahrens". WO 098778. {{cite journal}}: Cite journal requires |journal= (help) P. W. McMillan; C. E. Matthews (1976). "Microporous
Ion beam mixing (961 words) [view diff] no match in snippet view article find links to article
that of other modes of film preparation on substrates, such as chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). Disadvantages include
Carbon nanotube (17,938 words) [view diff] no match in snippet view article find links to article
nanotubes were grown on silicon substrates using an improved chemical vapor deposition (CVD) method and represent electrically uniform arrays of single-walled
Spectroelectrochemistry (1,527 words) [view diff] no match in snippet view article find links to article
of Strong Electron and Hole Doping on the Raman Intensity of Chemical Vapor-Deposition Graphene". ACS Nano. 4 (10): 6055–6063. doi:10.1021/nn1010914
Potential applications of graphene (15,190 words) [view diff] no match in snippet view article find links to article
dioxide was used as the base. The graphene sheet was formed via chemical vapor deposition, laid on top of the SiO 2. A sheet of aluminum oxide was laid
Group 2 organometallic chemistry (2,002 words) [view diff] no match in snippet view article find links to article
compounds even more so. One use for these type of compounds is in chemical vapor deposition. Beryllium derivatives and reagents are often prepared by alkylation
Plasma afterglow (1,336 words) [view diff] no match in snippet view article find links to article
system. This plasma cleaning method is especially effective for chemical vapor deposition methods where cleanliness is a key part of productivity. Charles
Bismuth telluride (1,053 words) [view diff] no match in snippet view article find links to article
growth methods such as molecular beam epitaxy and metal organic chemical vapor deposition are common methods of obtaining thin Bi2Te3 samples. The stoichiometry
Outline of nanotechnology (1,075 words) [view diff] no match in snippet view article find links to article
Supramolecular assembly – Nanoelectronics – Break junction – Chemical vapor deposition – Microelectromechanical systems (MEMS) Nanocircuits – Nanocomputer
Aixtron (858 words) [view diff] no match in snippet view article find links to article
Division of the British company Thomas Swan & Co. and the Swedish chemical vapor deposition equipment maker Epigress AB both purchased in 1999. The company
Tungsten diselenide (1,356 words) [view diff] no match in snippet view article find links to article
(2015-07-28). "Reversible Semiconducting-to-Metallic Phase Transition in Chemical Vapor Deposition Grown Monolayer WSe 2 and Applications for Devices". ACS Nano
Sodium hexametaphosphate (1,393 words) [view diff] no match in snippet view article find links to article
Kaolinite And KaolinF. Andreola; E.Castellini; T.Manfredini; M.Romagnoli. Journal of the European Ceramic Society, Volume 24, Number 7, June 2004. Impact
Gallium arsenide (5,147 words) [view diff] no match in snippet view article find links to article
fabricated utilizing epitaxial growth techniques such as metal-organic chemical vapor deposition (MOCVD) and hydride vapor phase epitaxy (HVPE). A significant
Microfabrication (1,967 words) [view diff] no match in snippet view article find links to article
techniques include: Thermal oxidation Local oxidation of silicon Chemical vapor deposition (CVD) APCVD LPCVD PECVD Physical vapor deposition (PVD) Sputtering
Dimethylzinc (863 words) [view diff] no match in snippet view article find links to article
extensive uses in the production of semiconductors, e.g. metalorganic chemical vapor deposition (MOCVD) for the preparation of wide band gap II–VI semiconducting
Borocarbonitrides (620 words) [view diff] no match in snippet view article find links to article
concentration of the reagents as well as the temperature. In chemical vapor deposition, boron, nitrogen, and carbon precursors react at high heat and
Sculptured thin film (567 words) [view diff] no match in snippet view article find links to article
polymeric STFs have been deposited by combining physical and chemical vapor deposition processes; and deposition on micropatterned substrates has also
List of physical properties of glass (455 words) [view diff] no match in snippet view article find links to article
"Diffraction studies of glass structure: II. The structure of vitreous germania". Journal of Non-Crystalline Solids. 7 (1): 37–52. Bibcode:1972JNCS....7...37L. doi:10
Molecular-beam epitaxy (1,528 words) [view diff] no match in snippet view article find links to article
wafer. Other variations include gas-source MBE, which resembles chemical vapor deposition. MBE systems can also be modified according to need. Oxygen sources
Ultrasonic nozzle (1,824 words) [view diff] no match in snippet view article find links to article
with uniform surface resistance. Ultrasonic spray pyrolysis is a chemical vapor deposition (CVD) method utilized in the formation of a variety of materials
Biointerface (1,143 words) [view diff] no match in snippet view article find links to article
methods of growth and composition of SiNWs, such as etching, chemical vapor deposition, and doping, allow for the properties of the SiNWs to be customized
ARROW waveguide (1,028 words) [view diff] no match in snippet view article find links to article
(2016-01-01). "Improved environmental stability for plasma enhanced chemical vapor deposition SiO2 waveguides using buried channel designs". Optical Engineering
Nanocrystalline material (1,643 words) [view diff] no match in snippet view article find links to article
"Effects of phosphorus doping in ZnO nanocrystals by metal organic chemical vapor deposition". Materials Letters. 68: 258–260. doi:10.1016/j.matlet.2011.10
Annealing (glass) (498 words) [view diff] no match in snippet view article
Warm glass E. F. Collins (1921) Electrically heated glass annealing lehr. Journal of the American Ceramic Society 4 (5), pp. 335–349 "All About Glass". www
Niobium diselenide (1,397 words) [view diff] no match in snippet view article find links to article
CDW. Niobium diselenide crystals and thin films can be grown by chemical vapor deposition (CVD). Niobium oxide, selenium and NaCl powders are heated to
Plasma (physics) (6,399 words) [view diff] no match in snippet view article
"Electrical optimization of plasma-enhanced chemical vapor deposition chamber cleaning plasmas" (PDF). Journal of Vacuum Science and Technology B. 16 (1):
Sodium silicate (4,661 words) [view diff] no match in snippet view article find links to article
ions. The deposition of such a layer requires a low-pressure chemical vapor deposition step. A disadvantage of sodium silicate bonding, however, is that
Gradient-index optics (1,843 words) [view diff] no match in snippet view article find links to article
problems: (prob. 3, vol. VIII. p. 188)". The Cambridge and Dublin Mathematical Journal. 9: 9–11. (reprinted by: Nivin, William Davidson, ed. (1890). The scientific
Buckypaper (1,715 words) [view diff] no match in snippet view article find links to article
a buckypaper sample was formed from the 1 ton compression of chemical vapor deposition (CVD) generated MWNT powder, any application of a solvent led
Uranium glass (1,364 words) [view diff] no match in snippet view article find links to article
Custard Glass?". Betti, Maria (2003). "Civil use of depleted uranium" (PDF). Journal of Environmental Radioactivity. 64 (2–3). Elsevier: 113–119. doi:10
Single-layer materials (8,628 words) [view diff] no match in snippet view article find links to article
solvent and not on the solid support. The bottom-up approaches like chemical vapor deposition (CVD) are still blank because of its high reactivity. Therefore
Gyroid (1,691 words) [view diff] no match in snippet view article find links to article
Researchers from Cambridge University have shown the controlled chemical vapor deposition of sub–60 nm graphene gyroids. These interwoven structures are
Iron aluminide (1,229 words) [view diff] no match in snippet view article find links to article
iron aluminides. Coatings of iron aluminide can be prepared by chemical vapor deposition onto iron. The high corrosion resistance of FeAl alloys make them
Titanium nitride (1,849 words) [view diff] no match in snippet view article find links to article
deposition, cathodic arc deposition or electron beam heating) and chemical vapor deposition (CVD). In both methods, pure titanium is sublimed and reacted
Gold(III) chloride (2,189 words) [view diff] no match in snippet view article
Robert G. Palgrave; Ivan P. Parkin (2007). "Aerosol Assisted Chemical Vapor Deposition of Gold and Nanocomposite Thin Films from Hydrogen Tetrachloroaurate(III)"
Moiré pattern (4,086 words) [view diff] no match in snippet view article find links to article
Schouteden, N. Galvanetto (2015). "Scanning probe microscopy study of chemical vapor deposition grown graphene transferred to Au(111)". Carbon. 95: 318–322. doi:10
Organotin chemistry (2,487 words) [view diff] no match in snippet view article find links to article
used in the production of tin dioxide layers on glass bottles by chemical vapor deposition. "Tributyltins" are used as industrial biocides, e.g. as antifungal
Chalcogenide glass (1,423 words) [view diff] no match in snippet view article find links to article
S.R. (1980). "Threshold switching in chalcogenide‐glass thin films". Journal of Applied Physics. 51 (6): 3289–3309. Bibcode:1980JAP....51.3289A. doi:10
Quantum well (5,935 words) [view diff] no match in snippet view article find links to article
) These structures can be grown by molecular beam epitaxy or chemical vapor deposition with control of the layer thickness down to monolayers. Thin metal
Fluoride glass (1,328 words) [view diff] no match in snippet view article find links to article
(2001-08-29). "Fluoride glass compositions for waveguide applications". Journal of Fluorine Chemistry. Solid State Inorganic Fluorides. 110 (2): 165–173
Solution precursor plasma spray (1,201 words) [view diff] no match in snippet view article find links to article
Journal of the American Ceramic Society, 84(8), 2001, p. 1906–908. E. Bouyer, G. Schiller, M. Muller, and R. H. Heane, "Thermal Plasma Chemical Vapor
Thin film (5,713 words) [view diff] no match in snippet view article find links to article
withdrawal speeds, and the draining zone at faster evaporation speeds. Chemical vapor deposition (CVD) generally uses a gas-phase precursor, often a halide or
Hafnium compounds (1,812 words) [view diff] no match in snippet view article find links to article
silicate and zirconium silicate grown by atomic layer deposition, chemical vapor deposition or MOCVD, can be used as a high-k dielectric as a replacement
Crystalline silicon (4,936 words) [view diff] no match in snippet view article find links to article
polycrystalline silicon (poly-Si) exist such as high temperature chemical vapor deposition (CVD). These allotropic forms of silicon are not classified as
Thin film (5,713 words) [view diff] no match in snippet view article find links to article
withdrawal speeds, and the draining zone at faster evaporation speeds. Chemical vapor deposition (CVD) generally uses a gas-phase precursor, often a halide or
Lam Research (1,765 words) [view diff] no match in snippet view article find links to article
level. The company employs electrochemical deposition (ECD) and chemical vapor deposition (CVD) technologies to form copper and other metal films for conducting
Crystalline silicon (4,936 words) [view diff] no match in snippet view article find links to article
polycrystalline silicon (poly-Si) exist such as high temperature chemical vapor deposition (CVD). These allotropic forms of silicon are not classified as
Conservation and restoration of glass objects (1,621 words) [view diff] no match in snippet view article find links to article
Art. p. 66-68. Eisen, Gustavus. “The Origin of Glass Blowing”. American Journal of Archaeology, Vol. 20, No. 2 (Apr. - Jun., 1916), pp. 134-143. “Caring
Barium titanate (1,663 words) [view diff] no match in snippet view article find links to article
Band Gap of Barium Titanate Nanoparticles Prepared by RF-plasma Chemical Vapor Deposition". Jpn. J. Appl. Phys. 44 (4A): 2081–2082. Bibcode:2005JaJAP..44
Fluorocarbon (2,364 words) [view diff] no match in snippet view article find links to article
applications. These include: Perfluorocarbon tracer Liquid dielectric Chemical vapor deposition Organic Rankine cycle Fluorous biphasic catalysis Cosmetics Ski
Zeolitic imidazolate framework (4,023 words) [view diff] no match in snippet view article find links to article
such as ball-milling or chemical vapor deposition, have also been described to produce high-quality ZIF-8. Chemical vapor deposition is of particular promise
Milton Feng (1,067 words) [view diff] no match in snippet view article find links to article
temperature by using metal organic chemical vapor deposition (MOCVD), as reported in the September 26 issue of the same journal. At this time, the transistor
Arsinide (829 words) [view diff] no match in snippet view article find links to article
"Characteristics of GaAs, AlGaAs, and InGaAs materials grown by metalorganic chemical vapor deposition using an on‐demand hydride gas generator". Applied Physics Letters
Electrochromism (2,526 words) [view diff] no match in snippet view article find links to article
methods have been used to synthesize tungsten oxide, including chemical vapor deposition (CVD), sputtering, thermal evaporation, spray pyrolysis (from
Physical vapor deposition (1,734 words) [view diff] no match in snippet view article find links to article
techniquePages displaying short descriptions of redirect targets Chemical vapor deposition – Method used to apply surface coatings Ion plating – Method of
Aluminium arsenide antimonide (508 words) [view diff] no match in snippet view article find links to article
films have been grown by molecular beam epitaxy and metalorganic chemical vapor deposition on gallium arsenide, gallium antimonide and indium arsenide substrates
Refractory (1,855 words) [view diff] no match in snippet view article find links to article
Group. ISBN 9781435850705. Hugh O. Pierson (1992). Handbook of chemical vapor deposition (CVD): principles, technology, and applications. William Andrew
ALTR Created Diamonds (632 words) [view diff] no match in snippet view article find links to article
Borsheims. The diamond is a 3.99-carat Asscher cut grown using the chemical vapor deposition process (CVD) and was graded by GCAL as a fancy orangy pink of
Heterojunction (2,746 words) [view diff] no match in snippet view article find links to article
generally requires the use of molecular beam epitaxy (MBE) or chemical vapor deposition (CVD) technologies in order to precisely control the deposition
Electron-beam physical vapor deposition (1,776 words) [view diff] no match in snippet view article find links to article
be broadly classified into physical vapor deposition (PVD) and chemical vapor deposition (CVD). In CVD, the film growth takes place at high temperatures
Supercooling (2,959 words) [view diff] no match in snippet view article find links to article
"Ice Nucleation, Propagation, and Deep Supercooling in Woody Plants". Journal of Crop Improvement. 10 (1–2): 5–16. doi:10.1300/J411v10n01_02. ISSN 1542-7528
Magnesocene (1,600 words) [view diff] no match in snippet view article find links to article
target for semiconductor research as a starting material for chemical vapor deposition and doping applications. Magnesocene has also been investigated
Ohmic contact (1,470 words) [view diff] no match in snippet view article find links to article
metals are deposited via sputter deposition, evaporation or chemical vapor deposition (CVD). Sputtering is a faster and more convenient method of metal
Barium borate (1,321 words) [view diff] no match in snippet view article find links to article
Barium Hydro-tri(1-pyrazolyl)borate Single-Source Precursor". Chemical Vapor Deposition. 13 (11): 651. doi:10.1002/cvde.200706611. C. Lu; S. S. Dimov
Indium aluminium nitride (585 words) [view diff] no match in snippet view article find links to article
heterostructures grown on sapphire by pulsed metal organic chemical vapor deposition". Journal of Crystal Growth. 314 (1). Elsevier BV: 359–364. Bibcode:2011JCrGr
Calculation of glass properties (2,196 words) [view diff] no match in snippet view article find links to article
Tech. Phys. (6): 544. {{cite journal}}: Missing or empty |title= (help); Z. Tech. Phys. (7): 105, 260. 1926. {{cite journal}}: Missing or empty |title=
Yogesh Jaluria (862 words) [view diff] no match in snippet view article find links to article
transfer, materials processing, such as optical fiber drawing, chemical vapor deposition and polymer extrusion, optimization of thermal systems, cooling
Sara E. Skrabalak (1,150 words) [view diff] no match in snippet view article find links to article
with William Buhro while there, focused on nanotube synthesis by chemical vapor deposition methods. She received the Sowden Award from Washington University
Xiuling Li (723 words) [view diff] no match in snippet view article find links to article
addition to novel techniques in epitaxial growth and metalorganic chemical vapor deposition of III-N materials. Her work with S-RuM has been shown to have
Dealkalization (1,711 words) [view diff] no match in snippet view article find links to article
(1940). "Resistance of glass bottles to neutral alcoholic solutions." Journal of the American Ceramic Society 23(5): 147-151 F. R. Bacon, "Chemical Durability
Two-dimensional gas (1,119 words) [view diff] no match in snippet view article find links to article
melting phenomena at a planar surface); Thin film phenomena such as chemical vapor deposition; Surface excitations of a solid. Bose gas Fermi gas Melting point
Niobium (8,202 words) [view diff] no match in snippet view article find links to article
"Electrochromic Properties of Niobium Oxide Thin Films Prepared by Chemical Vapor Deposition". Journal of the Electrochemical Society. 141 (10): 2868–2871. Bibcode:1994JElS
Borate (2,635 words) [view diff] no match in snippet view article find links to article
Thermally Stable Precursor Bis(tris(pyrazolyl)borate)strontium". Chemical Vapor Deposition. 17 (4–6): 128–134. doi:10.1002/cvde.201006890. Saly, Mark J.;
Nanorod (1,829 words) [view diff] no match in snippet view article find links to article
the substrate and assemble into monolayer arrays. Metal-organic chemical vapor deposition (MOCVD) has also been recently developed. No catalyst is involved
Emilie Ringe (825 words) [view diff] no match in snippet view article find links to article
Xingli Wang; Yongji Gong; Gang Shi; et al. (8 April 2014). "Chemical vapor deposition growth of crystalline monolayer MoSe2". ACS Nano. 8 (5): 5125–5131
Judith Harrison (1,183 words) [view diff] no match in snippet view article find links to article
"Empirical potential for hydrocarbons for use in simulating the chemical vapor deposition of diamond films". Physical Review B. 42 (15): 9458–9471. Bibcode:1990PhRvB
Tempered glass (2,546 words) [view diff] no match in snippet view article find links to article
doi:10.1520/C1048-18. Retrieved 12 June 2020. {{cite journal}}: Cite journal requires |journal= (help) "Tempered vs. Annealed Glass | Hunker". Hunker
Molybdenum nitride (346 words) [view diff] no match in snippet view article find links to article
or ammonia-containing solutions to produce molybdenum nitride. Chemical vapor deposition: Molybdenum nitride thin films and coatings can be deposited onto
Transparent conducting film (4,534 words) [view diff] no match in snippet view article find links to article
substrate through various deposition methods, including metal organic chemical vapor deposition, metal organic molecular beam deposition, solution deposition
Solid-state chemistry (2,730 words) [view diff] no match in snippet view article find links to article
Vernardou, Dimitra (January 2020). "Special Issue: Advances in Chemical Vapor Deposition". Materials. 13 (18): 4167. Bibcode:2020Mate...13.4167V. doi:10
Phosphor thermometry (1,958 words) [view diff] no match in snippet view article find links to article
"Eu-doped Y2O3 phosphor films produced by electrostatic-assisted chemical vapor deposition". Journal of Materials Research. 14 (7): 3111–3114. Bibcode:1999JMatR
Center for Detectors (1,027 words) [view diff] no match in snippet view article find links to article
nanostructures, including nanowires and nanofins, by metal-organic chemical vapor deposition through a synthesis process known as selective chemical etching
Glass microsphere (1,088 words) [view diff] no match in snippet view article find links to article
Katsumi (2011). "Characterization of hydrated silicate glass microballoons". Journal of Materials Research. 11 (11): 2908. Bibcode:1996JMatR..11.2908I. doi:10
Fused quartz (2,298 words) [view diff] no match in snippet view article find links to article
Jonathan (2012). "Transparent Armor Ceramics as Spacecraft Windows". Journal of the American Ceramic Society. Evaluation of Siliceous Cored Armor for
Vanadium(IV) oxide (2,537 words) [view diff] no match in snippet view article
Dimitra (2004). "Intelligent Window Coatings: Atmospheric Pressure Chemical Vapor Deposition of Tungsten-Doped Vanadium Dioxide". Chemistry of Materials. 16
Forest glass (2,859 words) [view diff] no match in snippet view article find links to article
Sairoche (1699-1714 AD, Ct. Bern, Switzerland): a petrological approach. Journal of Archaeological Science 33, 440–452. Freestone, I., 1992, Theophilus
List of Georgia Institute of Technology faculty (860 words) [view diff] no match in snippet view article find links to article
J. Am. Chem. Soc. 90 (17): 4744–4745. doi:10.1021/ja01019a052.{{cite journal}}: CS1 maint: multiple names: authors list (link) Edward M. Burgess; Harold
Tin(IV) oxide (1,500 words) [view diff] no match in snippet view article
powder" or "jeweler's putty". SnO2 coatings can be applied using chemical vapor deposition, vapour deposition techniques that employ SnCl4 or organotin trihalides
Cadmium sulfide (1,976 words) [view diff] no match in snippet view article find links to article
Sonomura, H (2003). "Thin CdS films prepared by metalorganic chemical vapor deposition". Solar Energy Materials and Solar Cells. 75 (1–2): 219. doi:10
Thin-film transistor (3,124 words) [view diff] no match in snippet view article find links to article
techniques are used to deposit semiconductors in TFTs. These include chemical vapor deposition (CVD), atomic layer deposition (ALD), and sputtering. The semiconductor
Nanomanufacturing (1,428 words) [view diff] no match in snippet view article find links to article
is a Nano-scale manufacturing technology using bottom-up and chemical vapor deposition (CVD) manufacturing method. ALD replaces SiO2 dielectric film
Graphene boron nitride nanohybrid materials (960 words) [view diff] no match in snippet view article find links to article
created through synthetic methods such as electron beam welding and chemical vapor deposition. Various different heterostructures of graphene and boron nitride
Graphenated carbon nanotube (635 words) [view diff] no match in snippet view article find links to article
aligned bamboo-like carbon nanotubes via microwave plasma enhanced chemical vapor deposition". J. Appl. Phys. 88 (10): 6072–4. Bibcode:2000JAP....88.6072C
Magnetic force microscope (2,305 words) [view diff] no match in snippet view article find links to article
Probes Fabricated Directly by Microwave Plasma-Enhanced Chemical Vapor Deposition". Journal of Nanomaterials. 2009: 147204. doi:10.1155/2009/147204.
Arsine (2,420 words) [view diff] no match in snippet view article find links to article
More importantly, AsH3 is used to make the semiconductor GaAs by chemical vapor deposition (CVD) at 700–900 °C: Ga(CH3)3 + AsH3 → GaAs + 3 CH4 For microelectronic
Neodymium(III) chloride (2,487 words) [view diff] no match in snippet view article
fabrication of fibers is easy decomposition of NdCl3 during the chemical vapor deposition; the latter process is widely used for the fiber grows. Neodymium(III)
Diamond battery (1,274 words) [view diff] no match in snippet view article find links to article
collected and used to produce man-made diamonds by a process known as chemical vapor deposition using low pressure and elevated temperature, noting that this
Sol–gel process (3,797 words) [view diff] no match in snippet view article find links to article
Klein, L.C. and Garvey, G.J., "Kinetics of the Sol-Gel Transition" Journal of Non-Crystalline Solids, Vol. 38, p.45 (1980) Brinker, C.J., et al.,
Zirconium diboride (2,217 words) [view diff] no match in snippet view article find links to article
condensation of dinitrile with decaborane satisfy these criteria. Chemical vapor deposition can be used to prepare zirconium diboride. Hydrogen gas is used
Superhard material (6,849 words) [view diff] no match in snippet view article find links to article
carbon and the heteroatoms. BCxNy thin films were synthesized by chemical vapor deposition in 1972. However, data on the attempted synthesis of B-C-N dense
AMOLED (1,913 words) [view diff] no match in snippet view article find links to article
technology that is competitive in cost and performance with existing chemical vapor deposition (CVD) technology. Using custom modeling and analytic approaches
Glass electrode (2,357 words) [view diff] no match in snippet view article find links to article
Polyphasischen Elektrolytketten. — Z. Biol. 47: 56 (1906). First publication — The Journal of Physical Chemistry by W. Ostwald and J. H. van 't Hoff) — 1909). F.
MAX phases (2,586 words) [view diff] no match in snippet view article find links to article
realized by different methods, including combustion synthesis, chemical vapor deposition, physical vapor deposition at different temperatures and flux
Upcycling (4,035 words) [view diff] no match in snippet view article find links to article
into carbon nano-materials by applying high temperatures and chemical vapor deposition. On a molecular level, the treatment of polymers like polypropylene
Two-dimensional semiconductor (1,944 words) [view diff] no match in snippet view article find links to article
applications. 2D semiconductor materials are often synthesized using a chemical vapor deposition (CVD) method. Because CVD can provide large-area, high-quality
Silane (2,208 words) [view diff] no match in snippet view article find links to article
decomposes into silicon and hydrogen; it can therefore be used in the chemical vapor deposition of silicon. The Si–H bond strength is around 384 kJ/mol, which
Topological insulator (6,975 words) [view diff] no match in snippet view article find links to article
insulators can be grown using different methods such as metal-organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), solvothermal synthesis
Yuwen Zhang (1,582 words) [view diff] no match in snippet view article find links to article
manufacturing (AM), including selective laser sintering (SLS) and laser chemical vapor deposition/infiltration (LCVD/LCVI). He is the first to develop fundamental
Tuomo Suntola (1,173 words) [view diff] no match in snippet view article find links to article
Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy". Chemical Vapor Deposition. 20 (10–11–12): 332–344. doi:10.1002/cvde.201402012. Suntola,
Neutron detection (8,359 words) [view diff] no match in snippet view article find links to article
BN that has been most studied as a neutron detector. Thin film chemical vapor deposition methods are usually employed to produce BP, BAs, BN, or B4C. These
Ceramic matrix composite (7,521 words) [view diff] no match in snippet view article find links to article
textile fabrication, where a small bending radius is essential. Chemical vapor deposition (CVD) is well suited for this purpose. In the presence of a fiber
Bioglass 45S5 (3,896 words) [view diff] no match in snippet view article find links to article
studied. Hench published his first paper on the subject in 1971 in the Journal of Biomedical Materials Research, and his lab continued to work on the
Prince Rupert's drop (2,355 words) [view diff] no match in snippet view article find links to article
Joseph (1829). "Real and supposed effect of igneous action". The American Journal of Science and Arts. 16: 349. Archived from the original on 3 November
Ferritin (4,982 words) [view diff] no match in snippet view article find links to article
precursor in making iron nanoparticles for carbon nanotube growth by chemical vapor deposition. Cavities formed by ferritin and mini-ferritins (Dps) proteins
Cemented carbide (2,073 words) [view diff] no match in snippet view article find links to article
life of the tool. The coating is usually deposited via thermal chemical vapor deposition (CVD) and, for certain applications, with the mechanical physical
Sarah Masters (399 words) [view diff] no match in snippet view article find links to article
field model of faceted anatase TiO2 dendrites in low pressure chemical vapor deposition". Applied Physics Letters. 119 (22): 221602. doi:10.1063/5.0071731
Ceramic glaze (2,888 words) [view diff] no match in snippet view article find links to article
Appl.GB2287643A Denio, Allen A. (1 April 1980). "Chemistry for potters". Journal of Chemical Education. 57 (4): 272. Bibcode:1980JChEd..57..272D. doi:10
Amorphous silicon (2,732 words) [view diff] no match in snippet view article find links to article
layer deposition (ALD) Chemical-mechanical planarization (CMP) Chemical vapor deposition (CVD) Crystalline silicon Ion implantation Nanoparticle Physical
Mechanics of gelation (1,878 words) [view diff] no match in snippet view article find links to article
gelation Born, Max (1939). "Thermodynamics of Crystals and Melting". The Journal of Chemical Physics. 7 (8): 591–603. Bibcode:1939JChPh...7..591B. doi:10
Yttrium barium copper oxide (2,490 words) [view diff] no match in snippet view article find links to article
developed since its discovery by Wu and his co-workers, such as chemical vapor deposition (CVD), sol-gel, and aerosol methods. These alternative methods
Bioactive glass (4,236 words) [view diff] no match in snippet view article find links to article
(January 2020). "Bioactive Glass in Dentistry: A Systematic Review". Saudi Journal of Oral Sciences. 7: 3–10. doi:10.4103/sjos.SJOralSci_56_19. S2CID 211233588
Weyl semimetal (2,076 words) [view diff] no match in snippet view article find links to article
characteristics of type II Weyl semimetal MoTe2 thin films grown by chemical vapor deposition". Journal of Materials Research. 35 (5): 454–461. arXiv:2001.01703
Borosilicate glass (3,638 words) [view diff] no match in snippet view article find links to article
alumina in aluminoborosilicate glasses for use in glass–ionomer cements". Journal of Materials Chemistry. 19 (22): 3652. doi:10.1039/B822285A. Lima, M.M
Single crystal (3,403 words) [view diff] no match in snippet view article find links to article
"Edge-controlled growth and kinetics of single-crystal graphene domains by chemical vapor deposition". Proceedings of the National Academy of Sciences of the United
James J. Coleman (866 words) [view diff] no match in snippet view article find links to article
International, Anaheim to work with P. Daniel Dapkus on metalorganic chemical vapor deposition (MOCVD), which has become a major process in the manufacture of
Ultra-high vacuum (3,058 words) [view diff] no match in snippet view article find links to article
requirements for purity, such as molecular beam epitaxy (MBE), UHV chemical vapor deposition (CVD), atomic layer deposition (ALD) and UHV pulsed laser deposition
Glass fiber (3,629 words) [view diff] no match in snippet view article find links to article
J (July 2014). "Glass fiber-reinforced polymer composites – a review". Journal of Reinforced Plastics and Composites. 33 (13): 1258–1275. doi:10.1177/0731684414530790
Robert Mokaya (702 words) [view diff] no match in snippet view article find links to article
Nitrogen-Doped Carbon Materials with Graphitic Pore Walls via a Simple Chemical Vapor Deposition Method". Advanced Materials. 16 (17): 1553–1558. Bibcode:2004AdM
Superlattice (3,224 words) [view diff] no match in snippet view article find links to article
importance. In addition to the MBE technology, metal-organic chemical vapor deposition (MO-CVD) has contributed to the development of superconductor
Focused ion beam (3,322 words) [view diff] no match in snippet view article find links to article
deposit material via ion beam induced deposition. FIB-assisted chemical vapor deposition occurs when a gas, such as tungsten hexacarbonyl (W(CO)6) is introduced
Bimetallic nanoparticle (1,479 words) [view diff] no match in snippet view article find links to article
crown jewel structure is difficult. It can be achieved through chemical vapor deposition (CVD). The metal is atomized using an electron beam evaporator
Diamond tool (2,407 words) [view diff] no match in snippet view article find links to article
diamonds onto the tool's base via electroplating method or via CVD (Chemical Vapor Deposition) method. They can usually be made to good processing precision
Electronics Technology and Devices Laboratory (1,846 words) [view diff] no match in snippet view article find links to article
Hryckowian, E.; Zeto, R. J.; Calderon, L.; Lareau, R. T. (1989). "Chemical Vapor Deposition of Thin Films for ACEL". In Shionoya, Shigeo; Kobayashi, Hiroshi
Thulium acetylacetonate (430 words) [view diff] no match in snippet view article find links to article
complex [Tm4(acac)6(L)6(μ3-OH)2]. Pierson, H.O. (1999). Handbook of Chemical Vapor Deposition: Principles, Technology and Applications. Materials Science and
Tantalum (6,109 words) [view diff] no match in snippet view article find links to article
usually exists as thin films obtained by magnetron sputtering, chemical vapor deposition or electrochemical deposition from a eutectic molten salt solution
Dangling bond (3,300 words) [view diff] no match in snippet view article find links to article
pp. 1–35. ISBN 978-0-08-044374-4. Yasuda H (2005). Luminous chemical vapor deposition and interface engineering. New York: Marcel Dekker. ISBN 978-0-8247-5788-5
List of multiple discoveries (10,671 words) [view diff] no match in snippet view article find links to article
Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy". Chemical Vapor Deposition. 20 (10–11–12): 332–344. doi:10.1002/cvde.201402012. ISSN 1521-3862
Thin-film solar cell (14,334 words) [view diff] no match in snippet view article find links to article
cell is mostly fabricated by a technique called plasma-enhanced chemical vapor deposition. It uses a gaseous mixture of silane (SiH4) and hydrogen to deposit
Klavs F. Jensen (2,453 words) [view diff] no match in snippet view article find links to article
has authored numerous journal articles describing significant advances in flow chemistry, microfluidics, chemical vapor deposition, and chemical engineering
Bismuth ferrite (1,706 words) [view diff] no match in snippet view article find links to article
used. Sputtering, molecular-beam epitaxy (MBE), metal organic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), and chemical solution
Michael Tsapatsis (1,893 words) [view diff] no match in snippet view article find links to article
was titled "Composite inorganic membranes for gas separations: Chemical vapor deposition of hydrogen permselective oxide membranes and preparation of supported
Delphi method (4,228 words) [view diff] no match in snippet view article find links to article
creation of a patent-eligible product. (Epsilon Corporation, Chemical Vapor Deposition Reactor) The results were then used by patent attorneys to determine
Cheon Jinwoo (1,970 words) [view diff] no match in snippet view article find links to article
Accounts of Chemical Research and an editorial advisory board member of Journal of Materials Chemistry, Nano Letters and Materials Horizons. Cheon enrolled
Eutectic bonding (2,074 words) [view diff] no match in snippet view article find links to article
layers wet chemical etching (HF clean), dry chemical etching or chemical vapor deposition (CVD) with different types of crystals can be used. Also some
Precision glass moulding (3,623 words) [view diff] no match in snippet view article find links to article
evaporate and are deposited on the lens, and plasma-enhanced chemical vapor deposition (PECVD). Chemical reactions take place in a vacuum and the reaction
Aluminium hydride (3,120 words) [view diff] no match in snippet view article find links to article
1021/ja00021a063. Yun, J.-H.; Kim, B.-Y.; Rhee, S.-W. (1998). "Metal-Organic Chemical Vapor Deposition of Aluminum from Dimethylethylamine Alane". Thin Solid Films.
Ruthenium (5,730 words) [view diff] no match in snippet view article find links to article
transistor gates, and interconnects. Ru films can be deposited by chemical vapor deposition using volatile complexes such as ruthenium tetroxide and the organoruthenium
Molybdenum disulfide (4,452 words) [view diff] no match in snippet view article find links to article
applications consist of molybdenum disulfide and titanium nitride, using chemical vapor deposition. Examples of applications of MoS 2-based lubricants include two-stroke
Nanopore sequencing (4,564 words) [view diff] no match in snippet view article find links to article
across the diameter of the pore. Arrays of pores are created and chemical vapor deposition is used to create nanotubes that grow across the array. Once a
Nanoarchitectures for lithium-ion batteries (2,752 words) [view diff] no match in snippet view article find links to article
separator, and cathode, for a battery were then added by low-pressure chemical vapor deposition. The battery consists of a thin active silicon layer separated
Self-cleaning surfaces (6,168 words) [view diff] no match in snippet view article find links to article
to react on the surface. Types of chemical deposition include chemical vapor deposition, chemical bath deposition, and electrochemical deposition. These
Silicon dioxide (6,592 words) [view diff] no match in snippet view article find links to article
{\displaystyle {\ce {SiH4 + 2 O2 -> SiO2 + 2 H2O}}} However the chemical vapor deposition of silicon dioxide onto crystal surface from silane had been used
Lead glass (3,897 words) [view diff] no match in snippet view article find links to article
(1992). "Potential lead exposures from lead crystal decanters". American Journal of Public Health. 82 (12): 1671–1673. doi:10.2105/ajph.82.12.1671. ISSN 0090-0036
Glass transition (5,216 words) [view diff] no match in snippet view article find links to article
Model-October 2015)". doi:10.13140/RG.2.2.19831.73121. {{cite journal}}: Cite journal requires |journal= (help) "What is Dynamic Mechanical Testing (DMA)?". 2018
Electroplating (3,908 words) [view diff] no match in snippet view article find links to article
deposition transfer the metal onto the substrate by evaporating it. Chemical vapor deposition uses a gas containing a volatile compound of the metal, which
Fiberglass (4,632 words) [view diff] no match in snippet view article find links to article
and multi-layered armour systems for ballistic applications – A review". Journal of Materials Research and Technology. 15: 1300–1317. doi:10.1016/j.jmrt
Red diamond (2,046 words) [view diff] no match in snippet view article find links to article
Intelligence Center. 4 May 2016. Retrieved 27 March 2017. "What is Chemical Vapor Deposition?". Innovateus. Retrieved 26 January 2017. "The Famed Argyle Diamond
Pyrolysis (8,123 words) [view diff] no match in snippet view article find links to article
semiconductor. Raw polycrystalline silicon is produced by the chemical vapor deposition of silane gases: SiH4 → Si + 2 H2. Gallium arsenide, another semiconductor
Perovskite solar cell (20,958 words) [view diff] no match in snippet view article find links to article
for quadruple junction solar cells. However, the metal organic chemical vapor deposition (mocvd) process needed to synthesise lattice-matched and crystalline
Thin-film interference (3,014 words) [view diff] no match in snippet view article find links to article
added to a substrate in a controlled manner. Methods include chemical vapor deposition and various physical vapor deposition techniques. Thin films are
Titanium dioxide (6,508 words) [view diff] no match in snippet view article find links to article
related approach that also relies on molecular precursors involves chemical vapor deposition. In this application, the alkoxide is volatilized and then decomposed
Gallium nitride nanotube (1,792 words) [view diff] no match in snippet view article find links to article
a hexagonal zinc oxide (ZnO) nanowire as the templates. Using chemical vapor deposition, thin layers of GaN are deposited onto the templates, creating
QFET (2,380 words) [view diff] no match in snippet view article find links to article
liquid-phase epitaxy, and vapor-phase epitaxy, an example being chemical vapor deposition. Typical MOSFETs are constructed on the micron scale. Wet chemical
Zinc oxide (8,145 words) [view diff] no match in snippet view article find links to article
hydrothermal method as a preference. Thin films can be produced by chemical vapor deposition, metalorganic vapour phase epitaxy, electrodeposition, pulsed
Self-aligned gate (3,762 words) [view diff] no match in snippet view article find links to article
of gate oxide (SiO2) is grown on the silicon wafer. 3. Using a chemical vapor deposition (CVD) process, a layer of polysilicon is grown on top of the gate
Photocatalyst activity indicator ink (2,546 words) [view diff] no match in snippet view article find links to article
& Parkin, I.P. 2010, "The combinatorial atmospheric pressure chemical vapor deposition (cAPCVD) of a gradating substitutional/interstitial N-doped anatase
Liquidus and solidus (1,146 words) [view diff] no match in snippet view article find links to article
temperatures by means of a Perkin-Elmer 1B differential scanning calorimeter". Journal of Thermal Analysis. 24 (1). Springer Science and Business Media LLC: 101–109
Glass-ceramic (3,555 words) [view diff] no match in snippet view article find links to article
(1951). "Thermal expansion properties of some synthetic lithia minerals". Journal of the American Ceramic Society. 34 (8): 235–239. doi:10.1111/j.1151-2916
Random walk (7,178 words) [view diff] no match in snippet view article find links to article
study the diffusion of reactants, products and plasma during chemical vapor deposition processes. Continuum diffusion has been used to study the flow
Semiconductor device (4,833 words) [view diff] no match in snippet view article find links to article
"Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition". IEEE/ASME Transactions on Mechatronics. 19 (1): 1–8. doi:10
Excimer lamp (3,227 words) [view diff] no match in snippet view article find links to article
photopolymerization of organic coatings and paints, and photo-enhanced chemical vapor deposition. In all cases UV photons excite species or cleave chemical bonds
Boron nitride nanotube (2,247 words) [view diff] no match in snippet view article find links to article
carbon nanotube growth, such as arc-discharge, laser ablation and chemical vapor deposition, are used for mass-production of BN nanotubes at a tens of grams
Quantum-cascade laser (4,155 words) [view diff] no match in snippet view article find links to article
metalorganic vapour phase epitaxy (MOVPE), also known as metalorganic chemical vapor deposition (MOCVD). Fabry-Perot (FP) quantum cascade lasers were first commercialized
Graphite (8,209 words) [view diff] no match in snippet view article find links to article
has been obtained by graphitizing of non-graphitic carbon, by chemical vapor deposition from hydrocarbons at temperatures above 2,500 K (2,230 °C), by
Tungsten (8,816 words) [view diff] no match in snippet view article find links to article
manufactured through powder metallurgy, spark plasma sintering, chemical vapor deposition, hot isostatic pressing, and thermoplastic routes. A more flexible
Ion implantation (4,242 words) [view diff] no match in snippet view article find links to article
"Implantation parameters affecting aluminum nano-particle formation in alumina". Journal of Materials Science. 36 (8): 1963–1973. doi:10.1023/A:1017562311310. S2CID 134817579
Glass ionomer cement (4,847 words) [view diff] no match in snippet view article find links to article
"Glass-ionomer cement restorative materials: A sticky subject?". Australian Dental Journal. 56: 23–30. doi:10.1111/j.1834-7819.2010.01293.x. PMID 21564113. Millett
Electrostatic precipitator (4,263 words) [view diff] no match in snippet view article find links to article
doi:10.1080/14786443708561958. Davidson JH, McKinney PJ (1998). "Chemical vapor deposition in the corona discharge of electrostatic air cleaners". Aerosol
Nitrogen-vacancy center (7,026 words) [view diff] no match in snippet view article find links to article
efficiently captures moving vacancies, producing the NV centers. During chemical vapor deposition of diamond, a small fraction of single substitutional nitrogen
Plasma-facing material (3,433 words) [view diff] no match in snippet view article find links to article
CFC and fine grain graphite – FGG). Vacuum Plasma Spray (VPS), Chemical Vapor Deposition (CVD) and Physical Vapor Deposition (PVD)... A particular attention
Glass (9,162 words) [view diff] no match in snippet view article find links to article
covalent non-crystalline solids I: Short-range order in chalcogenide alloys". Journal of Non-Crystalline Solids. 34 (2): 153. Bibcode:1979JNCS...34..153P. doi:10
Charge-coupled device (6,378 words) [view diff] no match in snippet view article find links to article
substrate. Later in the process, polysilicon gates are deposited by chemical vapor deposition, patterned with photolithography, and etched in such a way that
Molecular dynamics (9,801 words) [view diff] no match in snippet view article find links to article
"Empirical potential for hydrocarbons for use in simulating the chemical vapor deposition of diamond films" (PDF). Physical Review B. 42 (15): 9458–9471
Inertial fusion power plant (2,310 words) [view diff] no match in snippet view article find links to article
materials over a shell target. This can be done using directed Chemical Vapor Deposition of plastics or layers of gold or silvers. Hohlraum targets are
Group 5 element (8,537 words) [view diff] no match in snippet view article find links to article
usually exists as thin films obtained by magnetron sputtering, chemical vapor deposition or electrochemical deposition from a eutectic molten salt solution
Nuclear fuel (7,082 words) [view diff] no match in snippet view article find links to article
layers of three isotropic materials deposited through fluidized chemical vapor deposition (FCVD). The four layers are a porous buffer layer made of carbon
Phosphor (5,856 words) [view diff] no match in snippet view article find links to article
Thin-Film Electroluminescent Devices Grown by Metal-Organic Chemical Vapor Deposition". Japanese Journal of Applied Physics. 36 (5A): 2728. Bibcode:1997JaJAP
Ultrahydrophobicity (5,774 words) [view diff] no match in snippet view article find links to article
fouling resistance, which can be fabricated effectively with chemical vapor deposition. It has been also suggested that the superhydrophobic surfaces
Fulmer Research Institute (6,677 words) [view diff] no match in snippet view article find links to article
In 1975 Fulmer hosted the fifth International Conference on Chemical Vapor Deposition. The Fulmer tension meter is a device for measuring the tension
Carbon nanotube springs (2,031 words) [view diff] no match in snippet view article find links to article
long, aligned tubes called "forests" of CNTs that are grown by chemical vapor deposition (CVD). The "forests" can grow to heights of up to 6 millimeters
Anti-reflective coating (4,057 words) [view diff] no match in snippet view article find links to article
to enhance the conversion efficiency of silicon solar cells". Turkish Journal of Physics. 42 (4): 350–355. doi:10.3906/fiz-1801-28. S2CID 139899251.
Interatomic potential (7,172 words) [view diff] no match in snippet view article find links to article
"Empirical potential for hydrocarbons for use in simulating the chemical vapor deposition of diamond films". Physical Review B. 42 (15): 9458–9471. Bibcode:1990PhRvB
Molybdenum ditelluride (6,837 words) [view diff] no match in snippet view article find links to article
indium tin oxide. Tellurization of thin Mo film at 650 °C by chemical vapor deposition (CVD) leads to the hexagonal, semiconducting α-form (2H-MoTe2)
Molybdenum ditelluride (6,837 words) [view diff] no match in snippet view article find links to article
indium tin oxide. Tellurization of thin Mo film at 650 °C by chemical vapor deposition (CVD) leads to the hexagonal, semiconducting α-form (2H-MoTe2)
Vacuum (7,631 words) [view diff] no match in snippet view article find links to article
materials without contamination. This is the principle behind chemical vapor deposition, physical vapor deposition, and dry etching which are essential
Diamond-like carbon (4,155 words) [view diff] no match in snippet view article find links to article
so give much lower g-numbers than 66 on the same substrates. Chemical vapor deposition Cathodic arc deposition Poly(hydridocarbyne) Robertson, J. (2002)
Fluorine (15,272 words) [view diff] no match in snippet view article find links to article
are used in electronics: rhenium and tungsten hexafluoride in chemical vapor deposition, tetrafluoromethane in plasma etching and nitrogen trifluoride
Optical amplifier (5,205 words) [view diff] no match in snippet view article find links to article
Guide. Wiley. p. 2. Frede, Maik (2015). "Catch the Peak". Laser Technik Journal. 12. wiley: 30–33. doi:10.1002/latj.201500001. Frede, Maik (2007). "Fundamental
Laser diode (6,389 words) [view diff] no match in snippet view article find links to article
supplanted in the 1970s by molecular beam epitaxy and organometallic chemical vapor deposition. Diode lasers of that era operated with threshold current densities
Integrated circuit (8,766 words) [view diff] no match in snippet view article find links to article
three key process steps – photolithography, deposition (such as chemical vapor deposition), and etching. The main process steps are supplemented by doping
A.T. Charlie Johnson (1,327 words) [view diff] no match in snippet view article find links to article
carbon known as graphene using a method known as roll-to-roll chemical vapor deposition. Johnson also co-founded Adamant Technologies, which is a start-up
Microwave (6,963 words) [view diff] no match in snippet view article find links to article
for such purposes as reactive ion etching and plasma-enhanced chemical vapor deposition (PECVD). Microwaves are used in stellarators and tokamak experimental
Glass-to-metal seal (4,950 words) [view diff] no match in snippet view article find links to article
steel in oxidizing environment for solid oxide fuel cells". International Journal of Hydrogen Energy. 40 (46): 16434–16442. doi:10.1016/j.ijhydene.2015.10
Nanophotonic resonator (2,758 words) [view diff] no match in snippet view article find links to article
Nakamura, Toshiko; Azuma, Masashi; Haruta, Masatake (1998). "Chemical vapor deposition of gold on Al2O3, SiO2, and TiO2 for the oxidation of CO and of
Hesse (8,872 words) [view diff] no match in snippet view article find links to article
with Czochralski Process, Float-Zone Process, High-Temperature Chemical Vapor Deposition, Vertical Gradient Freeze equipment, quality inspection apparatus
Anthony E. Siegman (5,080 words) [view diff] no match in snippet view article find links to article
GaAs/AlxGa1-xAs multiple quantum well structure grown by metalorganic chemical vapor deposition," Appl. Phys. Lett. 46, 374-376 (15 February 1985). A. E. Siegman
Chlorine (12,719 words) [view diff] no match in snippet view article find links to article
well as in the semiconductor industry, where it is used to clean chemical vapor deposition chambers. It can act as a fluoride ion donor or acceptor (Lewis
Hitachi (6,132 words) [view diff] no match in snippet view article find links to article
Hitachi Kokusai Electric - Sold to KKR Telecommunication equipment Chemical vapor deposition equipment Power tools (Hitachi Koki) - Sold to KKR and renamed
Nanomaterials (10,264 words) [view diff] no match in snippet view article find links to article
controlled processes are self-limiting growth solution, self-limited chemical vapor deposition, shaped pulse femtosecond laser techniques, plant and microbial
X-ray crystallography (13,994 words) [view diff] no match in snippet view article find links to article
and may be crystallized by a wide range of methods, such as chemical vapor deposition and recrystallization. By contrast, macromolecules generally have
Multi-junction solar cell (8,207 words) [view diff] no match in snippet view article find links to article
the fabrication of the device typically employs metal-organic chemical vapor deposition (MOCVD). This technique is preferable to the molecular beam epitaxy
Willard Gibbs Award (5,878 words) [view diff] no match in snippet view article find links to article
photonics materials, low-dimensional electronic conductors, oxide chemical vapor deposition, high temperature superconductors, and metallocene anti-tumor
MXenes (8,377 words) [view diff] no match in snippet view article find links to article
; Talapin, Dmitri V. (March 24, 2023). "Direct synthesis and chemical vapor deposition of 2D carbide and nitride MXenes". Science. 379 (6638): 1242–1247
Dispersion (optics) (8,469 words) [view diff] no match in snippet view article
Bibcode:2022OExpr..3040779P. doi:10.1364/OE.457139. PMID 36299007.{{cite journal}}: CS1 maint: date and year (link) Popmintchev, Dimitar; Wang, Siyang;
List of dimensionless quantities (784 words) [view diff] no match in snippet view article find links to article
Becker, A.; Hüttinger, K. J. (1998). "Chemistry and kinetics of chemical vapor deposition of pyrocarbon—II pyrocarbon deposition from ethylene, acetylene
Ho-Young Kim (2,984 words) [view diff] no match in snippet view article find links to article
surface energy on large areas at a low cost using plasma assisted chemical vapor deposition (PACVD) technique with a group of materials scientists. The collaboration
Timeline of historic inventions (23,144 words) [view diff] no match in snippet view article find links to article
flushing, water-closet. 1852: Robert Bunsen is the first to use a chemical vapor deposition technique. 1852: Elisha Otis invents the safety brake elevator
Nano-ARPES (3,647 words) [view diff] no match in snippet view article find links to article
"Structural and electronic inhomogeneity of graphene revealed by Nano-ARPES". Journal of Physics: Conference Series. 864: 012029. doi:10.1088/1742-6596/864/1/012029
Optics (12,795 words) [view diff] no match in snippet view article find links to article
S2CID 170960993. G. Simon (2006). "The Gaze in Ibn al-Haytham". The Medieval History Journal. 9: 89–98. doi:10.1177/097194580500900105. S2CID 170628785. Ian P. Howard;
List of University of Illinois Urbana-Champaign people (12,027 words) [view diff] no match in snippet view article find links to article
Technology; awarded the 2007 IEEE Edison Medal; pioneer in metalorganic chemical vapor deposition and the commercialization of LEDs Brendan Eich, M.S. 1986 – creator
List of Korean inventions and discoveries (16,212 words) [view diff] no match in snippet view article find links to article
Byung-hee pioneered the synthesis of large-scale graphene by chemical vapor deposition, which triggered chemical researches toward the practical applications
Robert W. Conn (3,356 words) [view diff] no match in snippet view article find links to article
developed a system known as MORI that was used for plasma etching and chemical vapor deposition (CVD), steps that are central to the fabrication of semiconductors
Metal–organic framework (21,285 words) [view diff] no match in snippet view article find links to article
preparation of MOF films and composites is their synthesis by chemical vapor deposition. This process, MOF-CVD, was first demonstrated for ZIF-8 and consists
List of MOSFET applications (14,390 words) [view diff] no match in snippet view article find links to article
applications – RF cavity technology Plasma technology – plasma-enhanced chemical vapor deposition (PECVD), plasma sputtering, RF plasma signal generator Large-signal
Molecular layer deposition (8,513 words) [view diff] no match in snippet view article find links to article
Zircone and ZrO2/Zircone Alloy Films: Growth and Properties". Chemical Vapor Deposition. 19 (4–6): 204–212. doi:10.1002/cvde.201207045. ISSN 0948-1907
Carbon nanotubes in interconnects (4,726 words) [view diff] no match in snippet view article find links to article
vias connecting two metallic lines. Low temperature (400 °C) chemical vapor deposition growth of CNT on titanium nitride catalysed by cobalt particles
Bifacial solar cells (5,585 words) [view diff] no match in snippet view article find links to article
(SiNx) on both sides of the cell by means of plasma-enhanced chemical vapor deposition (PECVD), which requires 400C. Lower deposition temperatures of
2015 in science (23,929 words) [view diff] no match in snippet view article find links to article
taken a step towards large-scale fabrication of graphene, using chemical vapor deposition to produce composites containing 2-inch-by-2-inch sheets of the
Materials science (6,522 words) [view diff] no match in snippet view article find links to article
with a binder. Hot pressing provides higher density material. Chemical vapor deposition can place a film of a ceramic on another material. Cermets are
List of California Institute of Technology people (14,237 words) [view diff] no match in snippet view article find links to article
of National Academy of Engineering; known for contributions to chemical vapor deposition of compound semiconductors Mark Kushner, PhD 1979; George I Haddad
Heterojunction solar cell (13,929 words) [view diff] no match in snippet view article find links to article
Passivation by Wet Texturing, Ozone-Based Cleaning, and Plasma-Enhanced Chemical Vapor Deposition Processes for High-Efficiency Silicon Heterojunction Solar Cells"
Timeline of United States inventions (1946–1991) (17,391 words) [view diff] no match in snippet view article
production methods, high-pressure high-temperature synthesis and chemical vapor deposition, respectively. Although the concept of producing high quality